element14 Community
element14 Community
    Register Log In
  • Site
  • Search
  • Log In Register
  • Community Hub
    Community Hub
    • What's New on element14
    • Feedback and Support
    • Benefits of Membership
    • Personal Blogs
    • Members Area
    • Achievement Levels
  • Learn
    Learn
    • Ask an Expert
    • eBooks
    • element14 presents
    • Learning Center
    • Tech Spotlight
    • STEM Academy
    • Webinars, Training and Events
    • Learning Groups
  • Technologies
    Technologies
    • 3D Printing
    • FPGA
    • Industrial Automation
    • Internet of Things
    • Power & Energy
    • Sensors
    • Technology Groups
  • Challenges & Projects
    Challenges & Projects
    • Design Challenges
    • element14 presents Projects
    • Project14
    • Arduino Projects
    • Raspberry Pi Projects
    • Project Groups
  • Products
    Products
    • Arduino
    • Avnet Boards Community
    • Dev Tools
    • Manufacturers
    • Multicomp Pro
    • Product Groups
    • Raspberry Pi
    • RoadTests & Reviews
  • Store
    Store
    • Visit Your Store
    • Choose another store...
      • Europe
      •  Austria (German)
      •  Belgium (Dutch, French)
      •  Bulgaria (Bulgarian)
      •  Czech Republic (Czech)
      •  Denmark (Danish)
      •  Estonia (Estonian)
      •  Finland (Finnish)
      •  France (French)
      •  Germany (German)
      •  Hungary (Hungarian)
      •  Ireland
      •  Israel
      •  Italy (Italian)
      •  Latvia (Latvian)
      •  
      •  Lithuania (Lithuanian)
      •  Netherlands (Dutch)
      •  Norway (Norwegian)
      •  Poland (Polish)
      •  Portugal (Portuguese)
      •  Romania (Romanian)
      •  Russia (Russian)
      •  Slovakia (Slovak)
      •  Slovenia (Slovenian)
      •  Spain (Spanish)
      •  Sweden (Swedish)
      •  Switzerland(German, French)
      •  Turkey (Turkish)
      •  United Kingdom
      • Asia Pacific
      •  Australia
      •  China
      •  Hong Kong
      •  India
      •  Korea (Korean)
      •  Malaysia
      •  New Zealand
      •  Philippines
      •  Singapore
      •  Taiwan
      •  Thailand (Thai)
      • Americas
      •  Brazil (Portuguese)
      •  Canada
      •  Mexico (Spanish)
      •  United States
      Can't find the country/region you're looking for? Visit our export site or find a local distributor.
  • Translate
  • Profile
  • Settings
AMD
  • Products
  • Manufacturers
  • AMD
  • More
  • Cancel
AMD
Blog Introducing the AMD Spartan™︎ UltraScale+™︎ FPGAs for Secure, Low-power, High I/O Count Applications
  • Blog
  • Forum
  • Documents
  • Quiz
  • Events
  • Polls
  • Members
  • Mentions
  • Sub-Groups
  • Tags
  • More
  • Cancel
  • New
Join AMD to participate - click to join for free!
  • Share
  • More
  • Cancel
Group Actions
  • Group RSS
  • More
  • Cancel
Engagement
  • Author Author: vijeth_ds
  • Date Created: 30 Jun 2025 9:05 AM Date Created
  • Views 18627 views
  • Likes 8 likes
  • Comments 3 comments
  • ultrascale
  • embedded design
  • fpga
  • amd
  • E14-AMD
  • spartan
Related
Recommended

Introducing the AMD Spartan™︎ UltraScale+™︎ FPGAs for Secure, Low-power, High I/O Count Applications

vijeth_ds
vijeth_ds
30 Jun 2025

Introduction

imageEmbedded design engineers have always faced tough choices. With an ever-increasing population of devices and sensors connected at the edge, the need has never been higher for secure devices capable of handling a high IO count, as well as interfacing with many sensors and peripherals. To help with these challenges, AMD has introduced the AMD SpartanTm UltraScale+Tm FPGAs. This new addition offers a low-cost solution with high I/O-to-logic-cell ratios, low power consumption, and advanced security features in a compact package. The Spartan UltraScale+ FPGAs are designed for various uses, including machine vision, industrial IoT, robotics, smart city, healthcare, edge AI, secure communications, video, and broadcasting.

The Cost-Optimized AMD SpartanTm UltraScale+Tm FPGAs

The AMD Spartan UltraScale+ FPGAs are an important part of the lineup of cost-optimized FPGA solutions from AMD. It complements other families such as the AMD ArtixTm UltraScale+Tm FPGAs, Artix 7 FPGAs, and Spartan 7 Series FPGAs, each designed for specific needs by balancing cost efficiency, performance, and features.

Let’s put this in context. AMD Artix UltraScale+ FPGAs provide high data throughput and DSP computing capabilities, with up to 192 Gb/s of total SerDes bandwidth for high-speed interfacing. Artix 7 Series FPGAs offer up to sixteen 6.6 Gb/s transceivers for efficient data communication and DDR3 support for faster data bandwidth. AMD Spartan 7 Series FPGAs are ideal for low-density and small form factor applications, delivering excellent performance per watt with high I/O for design flexibility. The Spartan UltraScale+ FPGAs are optimized for cost-sensitive applications requiring high I/O count, low power, and robust security features.

AMD SpartanTm UltraScale+Tm FPGA Advantage: High I/O, Integrated Memory Controllers, and Robust Security

AMD Spartan UltraScale+ FPGAs offer unique benefits, making them a great choice for a wide range of applications. Here’s what makes them stand out:

  • Superior Connectivity and I/O Versatility: These FPGAs have the highest I/O-to-logic-cell ratio in the AMD cost-optimized portfolio. They support a wide range of I/O capabilities from 1.2V to 3.3V and both single-ended and differential standards, making them ideal for edge sensing and control applications. They also offer advanced connectivity, including up to eight GTH transceivers at 16.3 Gb/s, MIPI D-PHY interfaces at up to 3.2 Gb/s, and multiple PCIe® Gen4-compliant interfaces.
  • Enhanced Performance and Power Efficiency: Built on a 16 nm architecture using FinFET process technology, these FPGAs offer up to a 30% reduction in total power compared to the 28 nm AMD ArtixTm 7 Series FPGAs (see endnote 1) while delivering high performance. They support interfaces such as LPDDR4x/LPDDR5 memory or PCIe® Gen4, with direct, high-throughput access to up to 4.2 Gb/s of memory, which frees up programmable logic resources.
  • Robust Security: AMD Spartan UltraScale+ FPGAs include NIST-approved post-quantum cryptography, unique device identification via the physical unclonable function, permanent tamper penalty for device protection, side-attack protection via DPA countermeasures, and adaptable AES-GCM decryption to counter evolving threats.
  • Flexible Deployment: With packaging options as small as 10 mm x 10 mm, these FPGAs are versatile for various I/O-intensive applications, like industrial networking, robotics, embedded vision, healthcare, AV and broadcast, and automotive.
  • Easy-to-Use Development Platform: Supported by the robust and user-friendly AMD VivadoTm development tools, these FPGAs offer an extensive IP portfolio and machine-learning-based power optimization capabilities. This end-to-end development environment helps developers accelerate design and bring products to market quickly.

 

AMD SpartanTm UltraScale+Tm FPGA

AMD ZynqTm UltraScale+Tm MPSoC

AMD SpartanTm 7 Series FPGA

System Logic Cells (K)

218

157

102

Total RAM (Mb)

26.79

21.2

5.4

DSP Slices

384

576

160

Transceiver Count @ Speed (Gb/s)

8 @ 16.375

4 @ 6.0 and 8 @ 12.5

 --

DDR Interface @ Speed (Mb/s)

LPDDR4x/5 @ 4,266 (Hard MC) and DDR4 @ 2,400 (Soft MC)

DDR4 @ 2,666

(Hard MC)

DDR3 @ 800

(Soft MC)

PCI Express® Interface

Gen4x8

Gen3x8

--

I/O Pins

Up to 572

Up to 466

Up to 400

Table 1: A comparison between AMD Spartan UltraScale+ FPGAs, AMD ZynqTm UltraScale+Tm MPSoCs, and AMD Spartan 7 Series FPGAs

AMD SpartanTm UltraScale+Tm FPGAs on the Factory Floor

AMD Spartan UltraScale+ FPGAs are built to meet the needs of Industry 4.0, bringing intelligence, efficiency, and reliability to automation and industrial systems.

  • Factory Automation: In factory automation, AMD Spartan UltraScale+ FPGAs enhance sensor data aggregation. They offer various IP options, including EtherCAT, Profinet/Profibus, TSN, and CAN, providing connectivity over multiple communication and industrial Ethernet standards. These FPGAs also include complex safety features like Safe Torque Off (STO), Safe Stop (SS1/SS2), and Safe Direction (SDI), ensuring compliance with safety standards. Additionally, they support LPDDR4x/5 for external memory buffering, making them effective at storing data.
  • Massive Data Acquisition: The continuous processing of sensor/actuator data is a key requirement for condition monitoring. Typically, information often comes through dedicated ports with specific protocols. Moreover, MCUs and SoCs often have I/O-related limits, making FPGAs a better solution for connectivity that exactly matches the target application. Customization through programmability is where FPGAs excel. Intelligent solutions have higher value with next devices, which have sufficient ports with a wide enough range of voltages and speeds in combination with integrated memory and programmable logic for algorithms for automation. All in all, the AMD Spartan UltraScale+ FPGAs bring the solution to connectivity challenges to the next level.
  • Machine Vision: AMD Spartan UltraScale+ FPGAs are also ideal for machine vision applications. They support various sensor interfaces, such as MIPI, Sub-LVDS, and SLVS-EC, making them suitable for high-performance factory automation cameras. These FPGAs process sensor data with minimal latency due to their advanced FPGA fabric, DSP slices, and block RAM. They also support Frame Grabber applications by increasing I/O and supporting advanced standards like Time-Sensitive Networking (TSN) and CoaXpress 2.0.
  • Medical Systems: In advanced surgical and diagnostic visualization systems, AMD SpartanTm UltraScale+Tm FPGAs provide real-time, precise tool control. Their thermal and power efficiency, along with flexible I/O, allow integration with various sensors, enhancing surgical accuracy and diagnostic capabilities. These FPGAs offer the necessary safety and security features for vision-guided surgical and diagnostic applications.

For more information, please visit

Endnotes:

  • 1. Projection is based on AMD Labs internal analysis in January 2024, using Total Power calculation (Static plus Dynamic power) based on the difference in logic cell count of an AMD Artix UltraScale+ AU7P FPGA, to estimate the power of a 16nm AMD SpartanTm UltraScale+Tm SU35P FPGA versus a 28nm AMD Artix 7 7A35T FPGA, using Xilinx Power Estimator (XPE) tool version 2023.1.2. Actual Total power will vary when final products are released in market, based on configuration, usage, and other factors. (SUS-003)

About the Sponsor

imageAMD is the high performance and adaptive computing leader, powering the products and services that help solve the world’s most important challenges. Our technologies advance the future of the data center, embedded, gaming and PC markets. Founded in 1969 as a Silicon Valley start-up, the AMD journey began with dozens of employees who were passionate about creating leading-edge semiconductor products. AMD has grown into a global company setting the standard for modern computing, with many important industry firsts and major technological achievements along the way. For more inforation, click here.

©2025 Advanced Micro Devices, Inc. All Rights Reserved. AMD, and the AMD Arrow logo, Artix, Spartan, UltraScale+, Vivado, Zynq, and combinations thereof are trademarks of Advanced Micro Devices, Inc. Other product names used herein are for identification purposes only and may be trademarks of their respective owners.
  • Sign in to reply

Top Comments

  • venkat01
    venkat01 10 days ago +1
    There are some interesting changes with the configuration and boot architecture for the Spartan Ultrascale+ FPGAs with the Platform Management Controller and using the Programmable Device Image ( .pdi…
  • embeddedguy
    embeddedguy 6 days ago +1
    Nice Article.
  • bhfletcher
    bhfletcher 3 days ago

    The first three devices in the Spartan UltraScale+ family are in volume production! This includes the SU10P, SU25P, and SU35P. These smaller devices do not have transceivers or the hardened memory controller, but they do have the new security and configuration features, as well as 200-300 I/Os! 

    www.amd.com/.../spartan-ultrascale-plus-fpgas-in-production.html

    • Cancel
    • Vote Up 0 Vote Down
    • Sign in to reply
    • More
    • Cancel
  • embeddedguy
    embeddedguy 6 days ago

    Nice Article. 

    • Cancel
    • Vote Up +1 Vote Down
    • Sign in to reply
    • More
    • Cancel
  • venkat01
    venkat01 10 days ago

    There are some interesting changes with the configuration and boot architecture for the Spartan Ultrascale+ FPGAs with the Platform Management Controller and using the Programmable Device Image ( .pdi) file instead of the .bit file, making the boot process more robust and secure.

    image

    • Cancel
    • Vote Up +1 Vote Down
    • Sign in to reply
    • More
    • Cancel
element14 Community

element14 is the first online community specifically for engineers. Connect with your peers and get expert answers to your questions.

  • Members
  • Learn
  • Technologies
  • Challenges & Projects
  • Products
  • Store
  • About Us
  • Feedback & Support
  • FAQs
  • Terms of Use
  • Privacy Policy
  • Legal and Copyright Notices
  • Sitemap
  • Cookies

An Avnet Company © 2025 Premier Farnell Limited. All Rights Reserved.

Premier Farnell Ltd, registered in England and Wales (no 00876412), registered office: Farnell House, Forge Lane, Leeds LS12 2NE.

ICP 备案号 10220084.

Follow element14

  • X
  • Facebook
  • linkedin
  • YouTube