Hi evryone !
How can I split the 64-bit Din register into two 32-bit registers ?
Then by doing this, can I get rid of the overflow of IOB ?
Here is my entity :
entity keccak is
port (
clk : in std_logic;
rst_n : in std_logic;
init : in std_logic;
go : in std_logic;
absorb : in std_logic;
squeeze : in std_logic;
din : in std_logic_vector(63 downto 0);
ready : out std_logic;
dout : out std_logic_vector(63downto 0));
end keccak;
Thank you