Hello,
I'm trying to get used to the microZed board with the I/O carrier board using Vivado 2013.3.
As "hello_world" I want to use the user push buttons to toggle the user leds on the carrier board.
Due to the fact that the microZed board device from the Vivado project wizard is the "xc7z010clg400-1", but my Zynq says XC7Z020, I choose the "ZedBoard Zynq Evaluation and Development Kit (xc7z020clg484-1)" as poject device.
I have a block design with the zynq, axi interconnect and two axi gpio blocks. one gpio block as input and one as output for the leds.
My microZed Zynq is a XC7Z020, so I'm downloaded the "MicroZed Master XDC Rev B v1" and choose the "microzed_7z020_master_XDC_RevB_v1.xdc" as constraint file.
As the signal names are from the microZed schematic and not the carrier board schematic I named my ports "JX2_LVDS_16_P" and so on.
In the XDC file I changed the IOSTANDAD voltage from LVCMOS18 to LVCMOS33.
________________________________________
Now to my Problem:
I get the error DRC 23-20: rule violation (NSTD-1) unspecified I/O Standard.
http://www.xilinx.com/support/answers/56354.html
As I understand xilinx just explains the error code and that my XDC file is wrong.
Can anyone explain to me what's wrong here:
...
# Bank 34, Vcco = Vadj
# Set the bank voltage for bank 34.
set_property IOSTANDARD LVCMOS33 [get_ports -filter { IOBANK == 34 } ]
set_property PACKAGE_PIN T10 [get_ports {JX1_LVDS_0_N}]
set_property PACKAGE_PIN T11 [get_ports {JX1_LVDS_0_P}]
...
I only changed the LVCMOS33 from LVCMOS18 as mentioned earlier.
I have three suggestions what can maybe wrong: the XDC, the Project device or my Zynq is just an XC7Z010 and not a XC7Z020 as it says on the package....
Thanks for any help and suggestions
Regards
David