Hey,
I have a problem getting a clock signal. As you see in the picture http://pl.vc/2l3wr did I create a fabric clock in the PL section and wired it to my own IP.
Here is my Code for the IP:
entity LEDSANIM is
Port ( CLK_IN : in std_logic;
RESET_IN : in std_logic;
LEDS_OUT : out std_logic_vector(7 downto 0) );
end LEDSANIM;
architecture Behavioral of LEDSANIM is
signal Q : std_logic_vector(7 downto 0) := "11110000";
begin
process(CLK_IN,RESET_IN)
begin
if RESET_IN = '0' then
Q<="00000000";
end if;
if rising_edge(CLK_IN) then
Q<="11111111";
end if;
end process;
LEDS_OUT<=Q;
end Behavioral;
I only see the first 4 LEDS active on the Board.
I look now for more than a week for a solution but didnt find anything in the documention or forum.
Would be great if someone can help me