element14 Community
element14 Community
    Register Log In
  • Site
  • Search
  • Log In Register
  • Members
    Members
    • Achievement Levels
    • Benefits of Membership
    • Feedback and Support
    • Members Area
    • Personal Blogs
    • What's New on element14
  • Learn
    Learn
    • eBooks
    • Learning Center
    • Learning Groups
    • STEM Academy
    • Webinars, Training and Events
  • Technologies
    Technologies
    • 3D Printing
    • Experts & Guidance
    • FPGA
    • Industrial Automation
    • Internet of Things
    • Power & Energy
    • Sensors
    • Technology Groups
  • Challenges & Projects
    Challenges & Projects
    • Arduino Projects
    • Design Challenges
    • element14 presents
    • Project14
    • Project Groups
    • Raspberry Pi Projects
  • Products
    Products
    • Arduino
    • Avnet Boards Community
    • Dev Tools
    • Manufacturers
    • Product Groups
    • Raspberry Pi
    • RoadTests & Reviews
  • Store
    Store
    • Visit Your Store
    • Or choose another store...
      • Europe
      •  Austria (German)
      •  Belgium (Dutch, French)
      •  Bulgaria (Bulgarian)
      •  Czech Republic (Czech)
      •  Denmark (Danish)
      •  Estonia (Estonian)
      •  Finland (Finnish)
      •  France (French)
      •  Germany (German)
      •  Hungary (Hungarian)
      •  Ireland
      •  Israel
      •  Italy (Italian)
      •  Latvia (Latvian)
      •  
      •  Lithuania (Lithuanian)
      •  Netherlands (Dutch)
      •  Norway (Norwegian)
      •  Poland (Polish)
      •  Portugal (Portuguese)
      •  Romania (Romanian)
      •  Russia (Russian)
      •  Slovakia (Slovak)
      •  Slovenia (Slovenian)
      •  Spain (Spanish)
      •  Sweden (Swedish)
      •  Switzerland(German, French)
      •  Turkey (Turkish)
      •  United Kingdom
      • Asia Pacific
      •  Australia
      •  China
      •  Hong Kong
      •  India
      •  Korea (Korean)
      •  Malaysia
      •  New Zealand
      •  Philippines
      •  Singapore
      •  Taiwan
      •  Thailand (Thai)
      • Americas
      •  Brazil (Portuguese)
      •  Canada
      •  Mexico (Spanish)
      •  United States
      Can't find the country/region you're looking for? Visit our export site or find a local distributor.
  • Translate
  • Profile
Avnet Boards Forums
  • Products
  • Dev Tools
  • Avnet Boards Community
  • Avnet Boards Forums
  • More
  • Cancel
Avnet Boards Forums
MicroZed Hardware Design Connecting I2C peripheral to EMIO Bank 13 on MicroZed Z7020
  • Forum
  • Documents
  • Members
  • Mentions
  • Sub-Groups
  • Tags
  • More
  • Cancel
  • New
Avnet Boards Forums requires membership for participation - click to join
Actions
  • Share
  • More
  • Cancel
Forum Thread Details
  • State Not Answered
  • Replies 2 replies
  • Subscribers 176 subscribers
  • Views 365 views
  • Users 0 members are here
  • microzed
  • bank 13
Related

Connecting I2C peripheral to EMIO Bank 13 on MicroZed Z7020

amybelle03
amybelle03 over 3 years ago

I would like to connect the I2C peripheral to EMIO Bank 13 pins on Microzed Z7020 board. All examples seems to leave steps out.

Has anyone accomplished this and can share the Block Design along with the Constraints?

 

  • Sign in to reply
  • Cancel
  • jafoste4
    0 jafoste4 over 3 years ago

    Check out Tutorial 09 of the Reference Design Section

    image

     

    MicroZed

     

    -Josh

    • Cancel
    • Vote Up 0 Vote Down
    • Sign in to reply
    • Verify Answer
    • Cancel
  • amybelle03
    0 amybelle03 over 3 years ago in reply to jafoste4

    This was not exactly what I wanted to do but did help me setup my constraints file so thanks for pointing me to this example. I wanted to use the peripheral I2C Hardware and instead of assigning I2C to MIO pins I wanted

    to set it to EMIO and use Bank 13 pins.  It did appear on the processing_system7_0 but I was confused on how to setup the constraints. It appears to have worked but I have not

    tried it on the hardware yet. This is what I ended up doing. I am showing both the AXI_iiC and the Peripheral IIC.

     

    Setting I2C Peripheral to EMIO

    image

    It now shows up on the processing_system7_0

    image

    Here is both I2cs -AXI & the Peripheral routed through the EMIO

     

    image

    Here is the constraints file I ended up with.

    # AXI iic constraints

    set_property IOSTANDARD LVCMOS33 [get_ports iic_rtl_scl_io]

    set_property IOSTANDARD LVCMOS33 [get_ports iic_rtl_sda_io]

    set_property PACKAGE_PIN G14 [get_ports iic_rtl_scl_io]

    set_property PACKAGE_PIN J15 [get_ports iic_rtl_sda_io]

    #Creating SCL CLOCK at 100 Mhz

    create_clock -name IIC_SCL_CLOCK -period 10 [get_ports iic_rtl_scl_io]

    #Input Delays

    set_input_delay -clock IIC_SCL_CLOCK -clock_fall -max 0.0 [get_ports iic_rtl_sda_io]

    set_input_delay -clock IIC_SCL_CLOCK -clock_fall -min 0.0 [get_ports iic_rtl_sda_io]

    #Output Delays

    set_output_delay -clock IIC_SCL_CLOCK -max -1.9 [get_ports iic_rtl_scl_io]

    set_output_delay -clock IIC_SCL_CLOCK -min 0.035 [get_ports iic_rtl_scl_io]

    set_output_delay -clock IIC_SCL_CLOCK -max 0.000 [get_ports iic_rtl_sda_io]

    set_output_delay -clock IIC_SCL_CLOCK -min 0.000 [get_ports iic_rtl_sda_io]

     

    #***************************************************************************

    #Peripheral IIC constraints

    set_property IOSTANDARD LVCMOS33 [get_ports IIC_0_scl_io]

    set_property IOSTANDARD LVCMOS33 [get_ports IIC_0_sda_io]

    set_property PACKAGE_PIN V11 [get_ports IIC_0_scl_io]

    set_property PACKAGE_PIN V10 [get_ports IIC_0_sda_io]

    #Creating SCL CLOCK at 100 Mhz

    create_clock -name IIC_SCL_CLOCK -period 10 [get_ports IIC_0_scl_io]

    #Input Delays

    set_input_delay -clock IIC_SCL_CLOCK -clock_fall -max 0.0 [get_ports IIC_0_sda_io]

    set_input_delay -clock IIC_SCL_CLOCK -clock_fall -min 0.0 [get_ports IIC_0_sda_io]

    #Output Delays

    set_output_delay -clock IIC_SCL_CLOCK -max -1.9 [get_ports IIC_0_scl_io]

    set_output_delay -clock IIC_SCL_CLOCK -min 0.035 [get_ports IIC_0_scl_io]

    set_output_delay -clock IIC_SCL_CLOCK -max 0.000 [get_ports IIC_0_sda_io]

    set_output_delay -clock IIC_SCL_CLOCK -min 0.000 [get_ports IIC_0_sda_io]

    • Cancel
    • Vote Up 0 Vote Down
    • Sign in to reply
    • Verify Answer
    • Cancel
element14 Community

element14 is the first online community specifically for engineers. Connect with your peers and get expert answers to your questions.

  • Members
  • Learn
  • Technologies
  • Challenges & Projects
  • Products
  • Store
  • About Us
  • Feedback & Support
  • FAQs
  • Terms of Use
  • Privacy Policy
  • Legal and Copyright Notices
  • Sitemap
  • Cookies

An Avnet Company © 2023 Premier Farnell Limited. All Rights Reserved.

Premier Farnell Ltd, registered in England and Wales (no 00876412), registered office: Farnell House, Forge Lane, Leeds LS12 2NE.

ICP 备案号 10220084.

Follow element14

  • X
  • Facebook
  • linkedin
  • YouTube