element14 Community
element14 Community
    Register Log In
  • Site
  • Search
  • Log In Register
  • About Us
  • Community Hub
    Community Hub
    • What's New on element14
    • Feedback and Support
    • Benefits of Membership
    • Personal Blogs
    • Members Area
    • Achievement Levels
  • Learn
    Learn
    • Ask an Expert
    • eBooks
    • element14 presents
    • Learning Center
    • Tech Spotlight
    • STEM Academy
    • Webinars, Training and Events
    • Learning Groups
  • Technologies
    Technologies
    • 3D Printing
    • FPGA
    • Industrial Automation
    • Internet of Things
    • Power & Energy
    • Sensors
    • Technology Groups
  • Challenges & Projects
    Challenges & Projects
    • Design Challenges
    • element14 presents Projects
    • Project14
    • Arduino Projects
    • Raspberry Pi Projects
    • Project Groups
  • Products
    Products
    • Arduino
    • Avnet Boards Community
    • Dev Tools
    • Manufacturers
    • Multicomp Pro
    • Product Groups
    • Raspberry Pi
    • RoadTests & Reviews
  • Store
    Store
    • Visit Your Store
    • Choose another store...
      • Europe
      •  Austria (German)
      •  Belgium (Dutch, French)
      •  Bulgaria (Bulgarian)
      •  Czech Republic (Czech)
      •  Denmark (Danish)
      •  Estonia (Estonian)
      •  Finland (Finnish)
      •  France (French)
      •  Germany (German)
      •  Hungary (Hungarian)
      •  Ireland
      •  Israel
      •  Italy (Italian)
      •  Latvia (Latvian)
      •  
      •  Lithuania (Lithuanian)
      •  Netherlands (Dutch)
      •  Norway (Norwegian)
      •  Poland (Polish)
      •  Portugal (Portuguese)
      •  Romania (Romanian)
      •  Russia (Russian)
      •  Slovakia (Slovak)
      •  Slovenia (Slovenian)
      •  Spain (Spanish)
      •  Sweden (Swedish)
      •  Switzerland(German, French)
      •  Turkey (Turkish)
      •  United Kingdom
      • Asia Pacific
      •  Australia
      •  China
      •  Hong Kong
      •  India
      •  Korea (Korean)
      •  Malaysia
      •  New Zealand
      •  Philippines
      •  Singapore
      •  Taiwan
      •  Thailand (Thai)
      • Americas
      •  Brazil (Portuguese)
      •  Canada
      •  Mexico (Spanish)
      •  United States
      Can't find the country/region you're looking for? Visit our export site or find a local distributor.
  • Translate
  • Profile
  • Settings
Avnet Boards Forums
  • Products
  • Dev Tools
  • Avnet Boards Community
  • Avnet Boards Forums
  • More
  • Cancel
Avnet Boards Forums
MiniZed Hardware Design minized : how to define master clock in xdc for RTL
  • Forum
  • Documents
  • Members
  • Mentions
  • Sub-Groups
  • Tags
  • More
  • Cancel
  • New
Join Avnet Boards Forums to participate - click to join for free!
Actions
  • Share
  • More
  • Cancel
Forum Thread Details
  • State Not Answered
  • Replies 7 replies
  • Subscribers 320 subscribers
  • Views 1110 views
  • Users 0 members are here
  • clock
  • xdc
  • minized
Related

minized : how to define master clock in xdc for RTL

koseiyokoyama
koseiyokoyama over 1 year ago

I designed 7seg display driver in Verilog.
in xdc file, I define my clock as follows

set_property -dict {PACKAGE_PIN L12 IOSTANDARD LVCMOS33} [get_ports clk];

create_clock -add -name sysclk -period 20.000 -waveform {0.000 10.000} [get_ports clk];

L12 is WRCC Pin

and clk is my clock input pin in my RTL design

it does not seem clock is clocking..

Am I missing  something?

Block design is not used.

  • Sign in to reply
  • Cancel
  • javagoza
    0 javagoza over 1 year ago

    In the Minized you cannot directly assign a clock pin location in user constraints file. No clock is connected to the Programmable Logic (PL)

    The 33.33MHz clock is connected to the Processing System (PS) part of Zynq SoC and drives different PLLs from which all clocks for the SoC core and its peripherals are derived.

    image

    The Programmable Logic (PL) section of Zynq can source a total of 4 different clocks from this clocking hardware.

    You can configure  PL Fabric Clocks from Vivado ZYNQ7 PS IP

    image

    create your HDL code

    `timescale 1ns / 1ps
    
    module verilog_clocked_blinky(
    
        input  clk,
        output  led_g,
        output  led_r
    
        );   
    
    // reg for counter    
    reg [25:0] count = 0;
     
    assign led_g = count[23];
    assign led_r = count[25];
     
    always @ (posedge(clk)) count <= count + 1;    
    
    endmodule

    route the clock signal 

    image

    xdc file

    set_property PACKAGE_PIN E13 [get_ports led_g_0]
    set_property IOSTANDARD LVCMOS33 [get_ports led_g_0]
    
    
    set_property PACKAGE_PIN E12 [get_ports led_r_0]
    set_property IOSTANDARD LVCMOS33 [get_ports led_r_0]

    This is the easy way

    • Cancel
    • Vote Up 0 Vote Down
    • Sign in to reply
    • Verify Answer
    • Cancel
  • koseiyokoyama
    0 koseiyokoyama over 1 year ago in reply to javagoza

    Hi javagoza,

    Thank you for quick and detailed replay!!

    Now I have three more questions.

    1. I guess if you use block design you need HDL wrapper, correct?

    2. with this way, program FPGA will work? I mean without SDK...

    3. I want to output signal to GPIO(Arduino IO0 ~ IO10 for instance), following xdc definition would be correct?

        if so, do I have to add pin to my module or they will be out put from system7 GPIO?

    too many questoins.... but Please help me
    Thanks,

    part of my xdc

    set_property PACKAGE_PIN R8 [get_ports {GPIO_Out[0]}];

    set_property PACKAGE_PIN P8 [get_ports {GPIO_Out[1]}];

    set_property PACKAGE_PIN P9 [get_ports {GPIO_Out[2]}];

    set_property PACKAGE_PIN R7 [get_ports {GPIO_Out[3]}];

    set_property PACKAGE_PIN N7 [get_ports {GPIO_Out[4]}];

    set_property PACKAGE_PIN R10 [get_ports {GPIO_Out[5]}];

    set_property PACKAGE_PIN P10 [get_ports {GPIO_Out[6]}];

    set_property PACKAGE_PIN N8 [get_ports {GPIO_Out[7]}];

    set_property IOSTANDARD LVCMOS33 [get_ports {GPIO_Out[*]}];

    • Cancel
    • Vote Up 0 Vote Down
    • Sign in to reply
    • Verify Answer
    • Cancel
  • javagoza
    0 javagoza over 1 year ago in reply to koseiyokoyama
    koseiyokoyama said:
    1. I guess if you use block design you need HDL wrapper, correct?

    You're right and this is the easy way. After that, you can parse the output files and discover how the automatic instantiates the PS If the wrapper bothers you.

    koseiyokoyama said:
    2. with this way, program FPGA will work? I mean without SDK...

    Why don't you try it? 

    koseiyokoyama said:
    I want to output signal to GPIO(Arduino IO0 ~ IO10 for instance), following xdc definition would be correct?

    Seems ok.

    https://github.com/Avnet/hdl/blob/master/Boards/MINIZED/minized_pins.xdc

    I have some examples of a 7-segment LED driver for the Spartan 7 FPGA.

     SystemVerilog Study Notes. Hex-Digit to Seven-Segment LED Decoder RTL Combinational Circuit 

    • Cancel
    • Vote Up 0 Vote Down
    • Sign in to reply
    • Verify Answer
    • Cancel
  • koseiyokoyama
    0 koseiyokoyama over 1 year ago in reply to javagoza

    Hi Javagoza,

    I tried NO2 , i.e. without SDK, it is programmed but it does not seems clock is automaticaly started.
    LED is not blinking...

    do you have any idea how I give queue to FPGA? or any option I have to set?

    And thank to helpful links!!

    • Cancel
    • Vote Up 0 Vote Down
    • Sign in to reply
    • Verify Answer
    • Cancel
  • javagoza
    0 javagoza over 1 year ago in reply to koseiyokoyama

    May be the ZYNQ7 PS is not programmed. First, you can try to boot the Minized from flash memory and then reprogram FPGA from Vivado.

    Or export hardware project and program flash with Vitis using the "Hello world" application.

    • Cancel
    • Vote Up 0 Vote Down
    • Sign in to reply
    • Verify Answer
    • Cancel
  • koseiyokoyama
    0 koseiyokoyama over 1 year ago in reply to javagoza

    Yes, Boot from flash worked!! Thank you!!

    Vitis did not work.... any way, I can back to my project!!

    • Cancel
    • Vote Up 0 Vote Down
    • Sign in to reply
    • Verify Answer
    • Cancel
  • javagoza
    0 javagoza over 1 year ago in reply to koseiyokoyama

    I'm glad it worked for you. The Minized is a great development board to get started with FPGAs. I have a musical synthesizer project made with the Minized, still half done waiting to find time to finish it.

     AMD Zynq SoC MIDI Vintage Sound Synthesizer - Final 

    • Cancel
    • Vote Up 0 Vote Down
    • Sign in to reply
    • Verify Answer
    • Cancel
element14 Community

element14 is the first online community specifically for engineers. Connect with your peers and get expert answers to your questions.

  • Members
  • Learn
  • Technologies
  • Challenges & Projects
  • Products
  • Store
  • About Us
  • Feedback & Support
  • FAQs
  • Terms of Use
  • Privacy Policy
  • Legal and Copyright Notices
  • Sitemap
  • Cookies

An Avnet Company © 2025 Premier Farnell Limited. All Rights Reserved.

Premier Farnell Ltd, registered in England and Wales (no 00876412), registered office: Farnell House, Forge Lane, Leeds LS12 2NE.

ICP 备案号 10220084.

Follow element14

  • X
  • Facebook
  • linkedin
  • YouTube