element14 Community
element14 Community
    Register Log In
  • Site
  • Search
  • Log In Register
  • About Us
  • Community Hub
    Community Hub
    • What's New on element14
    • Feedback and Support
    • Benefits of Membership
    • Personal Blogs
    • Members Area
    • Achievement Levels
  • Learn
    Learn
    • Ask an Expert
    • eBooks
    • element14 presents
    • Learning Center
    • Tech Spotlight
    • STEM Academy
    • Webinars, Training and Events
    • Learning Groups
  • Technologies
    Technologies
    • 3D Printing
    • FPGA
    • Industrial Automation
    • Internet of Things
    • Power & Energy
    • Sensors
    • Technology Groups
  • Challenges & Projects
    Challenges & Projects
    • Design Challenges
    • element14 presents Projects
    • Project14
    • Arduino Projects
    • Raspberry Pi Projects
    • Project Groups
  • Products
    Products
    • Arduino
    • Avnet Boards Community
    • Dev Tools
    • Manufacturers
    • Multicomp Pro
    • Product Groups
    • Raspberry Pi
    • RoadTests & Reviews
  • Store
    Store
    • Visit Your Store
    • Choose another store...
      • Europe
      •  Austria (German)
      •  Belgium (Dutch, French)
      •  Bulgaria (Bulgarian)
      •  Czech Republic (Czech)
      •  Denmark (Danish)
      •  Estonia (Estonian)
      •  Finland (Finnish)
      •  France (French)
      •  Germany (German)
      •  Hungary (Hungarian)
      •  Ireland
      •  Israel
      •  Italy (Italian)
      •  Latvia (Latvian)
      •  
      •  Lithuania (Lithuanian)
      •  Netherlands (Dutch)
      •  Norway (Norwegian)
      •  Poland (Polish)
      •  Portugal (Portuguese)
      •  Romania (Romanian)
      •  Russia (Russian)
      •  Slovakia (Slovak)
      •  Slovenia (Slovenian)
      •  Spain (Spanish)
      •  Sweden (Swedish)
      •  Switzerland(German, French)
      •  Turkey (Turkish)
      •  United Kingdom
      • Asia Pacific
      •  Australia
      •  China
      •  Hong Kong
      •  India
      •  Korea (Korean)
      •  Malaysia
      •  New Zealand
      •  Philippines
      •  Singapore
      •  Taiwan
      •  Thailand (Thai)
      • Americas
      •  Brazil (Portuguese)
      •  Canada
      •  Mexico (Spanish)
      •  United States
      Can't find the country/region you're looking for? Visit our export site or find a local distributor.
  • Translate
  • Profile
  • Settings
Avnet Boards Forums
  • Products
  • Dev Tools
  • Avnet Boards Community
  • Avnet Boards Forums
  • More
  • Cancel
Avnet Boards Forums
ZUBoard SSTL12 I/O standard compatible pins on Avnet ZUBoard 1CG
  • Forum
  • Documents
  • Members
  • Mentions
  • Sub-Groups
  • Tags
  • More
  • Cancel
  • New
Join Avnet Boards Forums to participate - click to join for free!
Actions
  • Share
  • More
  • Cancel
Forum Thread Details
  • State Suggested Answer
  • Replies 7 replies
  • Answers 2 answers
  • Subscribers 327 subscribers
  • Views 464 views
  • Users 0 members are here
  • ZUBoard 1CG
  • AVNET ZUB1CG
  • avnet
  • Zynq Ultrascale+
Related

SSTL12 I/O standard compatible pins on Avnet ZUBoard 1CG

Niru_10
Niru_10 1 month ago

Hi,

I am using AVNET ZUBoard 1CG and trying to figure out the pins compatible with I/O standard SSTL12 and i found J6 supports the standard, but when i try in vivado .xdc file making a pin SSTL12, its throwing error at bitstream.
Please help me to find out the proper IO that supports SSTL12.

Below is the command i used.

set_property PACKAGE_PIN N3 [ct_out_0]
set_property IOSTANDARD SSTL12 [ct_out_0]

Thanks,

Nirupama.T

  • Sign in to reply
  • Cancel
Parents
  • iksevas
    0 iksevas 1 month ago

    Signals in HPIO banks like bank 65 and 66 can be setup to 1.2V.

    HDIO banks do not go that low voltage.

    • Cancel
    • Vote Up 0 Vote Down
    • Sign in to reply
    • Verify Answer
    • Reject Answer
    • Cancel
  • Niru_10
    0 Niru_10 1 month ago in reply to iksevas

    iksevas 
    I tried setting Bank 65 and 66 pins only, yet at the generate bitstream level, it throws error saying below

    [DRC NSTD-1] Unspecified I/O Standard: 1 out of 1 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: ct_out_0.

    Can you help me with this?

    Regards,
    Nirupama.T

    • Cancel
    • Vote Up 0 Vote Down
    • Sign in to reply
    • Verify Answer
    • Cancel
  • iksevas
    0 iksevas 1 month ago in reply to Niru_10

    This error message tells you the port CT_OUT_0 of your design does not have an IOSTANDARD defined (even though I see your XDC constraint in this thread). There would be no way for me to provide guidance on this without having access to your design. Problem with that is that I may not have the tool version you are working on. 

    I see you are targeting a bank 65 pin single-ended to the PL HSIO connector, so this is a correct mapping of a 1.2V standard to a pin. I see that you can jumper select 1.2V as the voltage for that bank on the ZUBoard/

    There has to be something simple in your design that is being missed. 

    • Cancel
    • Vote Up 0 Vote Down
    • Sign in to reply
    • Verify Answer
    • Cancel
Reply
  • iksevas
    0 iksevas 1 month ago in reply to Niru_10

    This error message tells you the port CT_OUT_0 of your design does not have an IOSTANDARD defined (even though I see your XDC constraint in this thread). There would be no way for me to provide guidance on this without having access to your design. Problem with that is that I may not have the tool version you are working on. 

    I see you are targeting a bank 65 pin single-ended to the PL HSIO connector, so this is a correct mapping of a 1.2V standard to a pin. I see that you can jumper select 1.2V as the voltage for that bank on the ZUBoard/

    There has to be something simple in your design that is being missed. 

    • Cancel
    • Vote Up 0 Vote Down
    • Sign in to reply
    • Verify Answer
    • Cancel
Children
  • Niru_10
    0 Niru_10 1 month ago in reply to iksevas

    iksevas 

    The below is my design, where I'm taking default configuration of zynq ultrascale+MPSoc and connected it to clocking wizard and generated two clocks of 200 and 800 MHz each and the 200 MHz clock is provided as source to counter and the output of counter is the CT_OUT_0.

    Below is my design. and the default jumper setting is 1.2v, Anyways the design is failing at bitstream phase, so didn't go till board level execution.

    image

    • Cancel
    • Vote Up 0 Vote Down
    • Sign in to reply
    • Verify Answer
    • Cancel
  • iksevas
    0 iksevas 1 month ago in reply to Niru_10

    You appear to be entering the constraints incorrectly. I created an example design with the following constraints:

    set_property PACKAGE_PIN N3 [get_ports {CT_OUT_0[0]}]
    set_property IOSTANDARD SSTL12 [get_ports {CT_OUT_0[0]}]

    Also with the following block design:

    image

    I had no issue getting to a final solution. Please revisit your constraints.

    Here is the IO report:

    image

    • Cancel
    • Vote Up 0 Vote Down
    • Sign in to reply
    • Verify Answer
    • Reject Answer
    • Cancel
  • tjaekel
    0 tjaekel 1 month ago in reply to iksevas

    Potentially, your XDC file (syntax) is wrong. I can use properly pins as LVCMOS12 on this header (as the IO Standard).

    Are you sure SSTL12 is the right declartion for simple 1V2 pins (used just for DDR memory pins with a ref. voltage as well, VREF an VTT)?

    Try LVCMOS12 instead...

    • Cancel
    • Vote Up 0 Vote Down
    • Sign in to reply
    • Verify Answer
    • Cancel
  • Niru_10
    0 Niru_10 1 month ago in reply to iksevas

    iksevas 

    This works now, I'm not sure what is the issue earlier, Maybe its the constraints not properly added.


    Thanks,

    Nirupama.T

    • Cancel
    • Vote Up 0 Vote Down
    • Sign in to reply
    • Verify Answer
    • Cancel
element14 Community

element14 is the first online community specifically for engineers. Connect with your peers and get expert answers to your questions.

  • Members
  • Learn
  • Technologies
  • Challenges & Projects
  • Products
  • Store
  • About Us
  • Feedback & Support
  • FAQs
  • Terms of Use
  • Privacy Policy
  • Legal and Copyright Notices
  • Sitemap
  • Cookies

An Avnet Company © 2025 Premier Farnell Limited. All Rights Reserved.

Premier Farnell Ltd, registered in England and Wales (no 00876412), registered office: Farnell House, Forge Lane, Leeds LS12 2NE.

ICP 备案号 10220084.

Follow element14

  • X
  • Facebook
  • linkedin
  • YouTube