I purchased a Xess XuLA2. It arrived this morning. This post is the story of my first steps
I have a little bit of experience with FPGAs. I learned digital electronics in the early-to-mid 80's. This is my experience to run a first design on the XuLA2 board |
I have done training work with the Spartan 6 FPGA before. The Xilinx development environment is running on my laptop and works.
I can focus on getting the Xess tools working.
That's not difficult, but I had some issues with Python dependencies that I'll document here.
The USB Driver
Installing the device on a Windows10 laptop was easy. There's the typical 'signed driver' hurdle to jump.
When you plug in the XuLA, it's recognised by the OS, but in the Device Management screen there's a warning next to the device.
The typical Windows " invalid hash signature" warning.
The solution is known. You have to restart Windows in a special mode that allows to install unsigned drivers (use your google-fu to find the instructions for your version and language).
Once Windows is restarted in the 'allow unsigned drivers' mode, right-click on the device in the Device Manager and select Update Driver.
Windows will find the driver for you and install it. From then on, all is good.
The Loader Tool
The XuLA depends on external tools to synthesize your design into an upload file. I'm using the free Xilinx ISE toolset.
The Xess tools come into play when you want to load your designs to the FPGA.
They have command line and GUI applications. I'm testing the command line Loader tool here.
The command line tools are for Python 2.7 - I got synthax errors in the print() and other functions when trying it with 3.x.
The instructions to install the toolkit are here: https://xstools.readthedocs.io/en/python/installation.html.
Install went fine. But I ran into a dependency conflict.
The tools depend on a Python library called pubsub. The installer nicely reports that the minimum required version is pypubsub >= 3.1.2.
(I don't know anything about python - I learned the deep internals of it today while getting all of this working )
The bad thing is that the latest version of that library, 4.x, doesn't work (either with Python 2.7 or with the current Xess tools release - I don't know that).
So I had to force-replace pubsub 4 with pubsub3 (I've logged an issue on github).
I used these commands:
pip uninstall Pypubsub pip install -Iv https://pypi.python.org/packages/95/5a/1801be1a63af9250e79b8941a37b88e3ca0d660b880b9862fe9016ae6a3a/PyPubSub-3.3.0.zip
Finding all of that out took me a half day - don't ask how I did that unless we're in a pub together and you're paying for the drinks.
Once done, all works.
C:\Python27>xstest Success: XuLA2-LX25 passed diagnostic test!
Testing the XuLA2
I used the mandatory blinky project. I didn't use an LED though, but an oscilloscope.
The XuLA2 examples are available when you install the Xess XSTOOLS.
I've opened Examples/XuLA2/LX25/blinker in the Xilinx ISE, synthesised it and generated the programming file.
You can also make the project yourself by following the instructions in the Xess tutorial, chapter "Starting a Design in WebPACK"
Then I used the XSTOOLS Loader to beam it to the XuLA2:
xsload --fpga blinker.bit Success: Bitstream in blinker.bit downloaded to FPGA on XuLA2-LX25!
With my scope attached to the CLK pin at the right lower corner of the PCB, I got the output:
The clock and output setting are defined in blinker.ucf:
net clk_i loc=a9; # 12 MHz input clock. net blinker_o loc=t7 | IOSTANDARD=LVTTL | DRIVE=24 | SLEW=SLOW ; # Blinker output to LED.
The downcount from 12 MHz clock to approx. 1Hz blink signal is done in the VHDL design:
entity blinker is Port ( clk_i : in STD_LOGIC; blinker_o : out STD_LOGIC); end blinker; architecture Behavioral of blinker is signal cnt_r : std_logic_vector(22 downto 0) := (others=>'0'); begin process(clk_i) is begin if rising_edge(clk_i) then cnt_r <= cnt_r + 1; end if; end process; blinker_o <= cnt_r(22); end Behavioral;
(if only e14 had a VHDL syntax highlighter)
Summary
Top Comments